18 research outputs found

    Workload prediction based on supply current tracking : a fuzzy logic approach

    Get PDF

    Dynamic voltage scaling based on supply current tracking using fuzzy Logic controller

    Get PDF
    It has been demonstrated that dynamic voltage and frequency scaling (DVFS) leads to a considerable saving in dynamic and static power of a processor. In this paper, we present an adaptive framework that can be used to dynamically adjust supply voltage and frequency of a processor under different application workloads. Voltage scaling decisions are made by a fuzzy logic (FL) block based on variations of the processor's workload. By observing the supply-current of the processor and also its variation rate, the FL block can drive the processor to operate at the lowest possible voltage and also the corresponding minimum frequency, in which a specific application can meet all of its deadlines under time-constrained operation. As the voltage can change at the same time as the workload varies, significant savings in both dynamic and static power are achieved. Simulation results show that our approach outperforms a PID controller under distinct working loads

    Workload prediction based on supply current tracking : a fuzzy logic approach

    No full text

    Necessity of Fault Tolerance Techniques in Xilinx Kintex 7 FPGA Devices for Space Missions: A Case Study

    Get PDF
    Contains fulltext : 182805.pdf (author's version ) (Open Access

    Fuzzy-controlled voltage scaling based on supply current tracking

    No full text
    We discusses an adaptive fuzzy logic controller to accurately and robustly predict and track supply current variations of digital processors. The proposed controller tracks supply current variations without updating any parameter during its run-time prediction. It can be used to adjust the supply voltage and clock frequency of digital processors based on workload variations when accounting for timing-constraints and other practical requirements. Additionally, we comprehensively examine the stability analysis of the closed loop configuration containing the fuzzy controller and the digital processor model. We prove that the fuzzy controller guarantees the asymptotic stability of the closed loop architecture. Several experiments are performed to exhibit effectiveness of the proposed fuzzy controller comparing to the other existing conventional prediction methods. The results show that the proposed controller outperforms the other existing methods

    Adaptive voltage (frequency) scaling based on fuzzy logic controllers

    No full text
    In this paper, a dynamic voltage (frequency) scaling method based on a fuzzy logic controller is proposed that adjusts the voltage (frequency) of a processor according to workload variations. In this method, voltage scaling decisions are made by a fuzzy logic (FL) controller based on variations of the processor's workload. By observing the supply-current of the processor and also its variation rate, the FL controller can drive the processor to operate at the lowest possible voltage and also the corresponding minimum frequency. By this method, significant savings in both dynamic and static power are achieved in comparison with the already existing methods

    Fuzzy-controlled voltage scaling based on supply current tracking

    No full text
    We discusses an adaptive fuzzy logic controller to accurately and robustly predict and track supply current variations of digital processors. The proposed controller tracks supply current variations without updating any parameter during its run-time prediction. It can be used to adjust the supply voltage and clock frequency of digital processors based on workload variations when accounting for timing-constraints and other practical requirements. Additionally, we comprehensively examine the stability analysis of the closed loop configuration containing the fuzzy controller and the digital processor model. We prove that the fuzzy controller guarantees the asymptotic stability of the closed loop architecture. Several experiments are performed to exhibit effectiveness of the proposed fuzzy controller comparing to the other existing conventional prediction methods. The results show that the proposed controller outperforms the other existing methods

    Can We Improve on the Dipole Antenna for Space-based Low Frequency Radio Astronomy?

    No full text
    This paper presents an analysis of the shortcomings of dipoles in space-based low frequency radio astronomy. It is at these frequencies that it is expected to uncover more information about the beginning of the universe, the Dark Ages. At this moment there is a 5 m tripole setup, part of the Netherlands- China Low Frequency Explorer (NCLE) on Earth-Moon-L2 Halo Orbit. However, the dipole is limited in term of bandwidth, size and impedance. To further increase the sensitivity, more advanced structures are needed which can be packed in the same volume. This paper will present multiple solutions to the problem in the form of inflatable antennas and the usage of shape memory alloys which are both viable options. A future solution is a combination of both for packing efficiency and rigidization

    Reconfigurable logic blocks based on a discrete chaotic circuit : implementation of all fundamental two input, one output logic functions

    No full text
    In this paper, we implement the first realization of a reconfigurable chaotic based logic block that can morph between all two input, one output logic functions. This logic block is constructed based on a discrete time chaotic circuit known as Logistic Map and can directly emulate operation of all two input, one output combinational functions. We have derived instruction set table of this logic block that can be used as a look up table to generate different logic functions. Furthermore, we propose a method to enhance robustness of the constructed logic block with respect to environmental noise. Constructed logic block provides opportunities and possibilities to construct more efficient and higher order reconfigurable logic blocks
    corecore